首页 | 本学科首页   官方微博 | 高级检索  
     检索      

正负脉宽数控调制信号发生器的VHDL程序设计
引用本文:张霞.正负脉宽数控调制信号发生器的VHDL程序设计[J].江汉大学学报(人文科学版),2001,20(6):15-18.
作者姓名:张霞
作者单位:华中理工大学汉口分校,湖北武汉430012
摘    要:介绍了VHDL语言在正负脉宽数控调制信号发生器中的具体应用,给出了仿真波形,说明了实现电子电路的自动化设计(EDA)过程和EDA技术在现代数字系统中的重要地位及作用。

关 键 词:VHDL  EDA  电子电路自动化设计  正负脉宽数控调制信号发生器  计算机仿真  程序设计

VHDL Programmer Design of the Positive and Negative Pulse-wide Signal Generation by Numerical Control Method
ZHANG Xia.VHDL Programmer Design of the Positive and Negative Pulse-wide Signal Generation by Numerical Control Method[J].Journal of Wuhan Institute of Education,2001,20(6):15-18.
Authors:ZHANG Xia
Abstract:This paper introduces the application of the VHDL language in the positive and negative pulse wide signal generation by numerical control method and shows the simulation waveform. The paper explains the process of the electronic design automatization (EDA) and the importance and function of EDA technology in modern digital system.
Keywords:VHDL  EDA  Simulation  
本文献已被 CNKI 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号