首页 | 本学科首页   官方微博 | 高级检索  
     检索      

党的民族区域自治政策永放光芒——新中国成立初期新疆推行民族区域自治的实践与启示
引用本文:陈国裕.党的民族区域自治政策永放光芒——新中国成立初期新疆推行民族区域自治的实践与启示[J].新疆社科论坛,2011(2):5-17.
作者姓名:陈国裕
作者单位:自治区党委党史研究室
摘    要:通过回顾和研究新中国成立初期党在新疆推行民族区域自治的成功实践,使我们认识到中国共产党和新中国第一代领导人高瞻远瞩,为新中国民族政策确定了正确的方向,奠定了坚实的制度保障,形成了优良的作风和传统,开启了中华民族团结进步、繁荣发展的历史新纪元。新疆推行民族区域自治的成功实践,对于我们今天坚持和完善民族区域自治制度,做好民族工作,推进新疆跨越式发展和长治久安,仍有启迪作用。

关 键 词:新中国成立初期  新疆  民族区域自治政策  实践  启示

Policy of Regional Ethnic Autonomy Will Shine Forever: Practice and Implications of Regional Ethnic Autonomy Carried Out in Xinjiang in the Early Days after the Founding of P.R.C.
Chen Guoyu.Policy of Regional Ethnic Autonomy Will Shine Forever: Practice and Implications of Regional Ethnic Autonomy Carried Out in Xinjiang in the Early Days after the Founding of P.R.C.[J].Tribune of Social Sciences in Xinjiang,2011(2):5-17.
Authors:Chen Guoyu
Abstract:
Keywords:
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号